Lieven Eeckhout

 

I am a Full Professor (gewoon hoogleraar BOF-ZAP) at Ghent University, Belgium, in the Department of Electronics and Information Systems (ELIS). I obtained my PhD degree from Ghent University in 2002. My research interests include computer architecture, with specific emphasis on performance evaluation and modeling, workload characterization, dynamic resource management, CPU/GPU microarchitecture, and sustainability. He is an IEEE and ACM Fellow.

 

Contact information

 

ELIS – Ghent University

Technologiepark-Zwijnaarde 126

9052 Ghent, Belgium

Tel:+32-9-264.34.58, Fax: +32-9-264.35.94

Email: Lieven.Eeckhout @ UGent.be

eeckhout.jpg

 

My Research Group: PerformanceLab

Postdocs:

  Ajeya Naithani

  Mahmood Naderan-Tahan

  Saeideh Sheikhpour

PhD students:

   Benyamin Eslami

   Jaime Roelandts

   Hossein Seyyed

 

 

PerformanceLab Alumni

 

Shiqing Zhang (PhD 2023)

Karthik Lakshminarasimhan (PhD 2023)

Wenjie Liu (PhD 2022)

Lu Wang (PhD 2020)

Xia Zhao (PhD 2019)

Shoaib Akram (PhD 2019) – ANU (assistant professor)

Sander De Pestel (PhD 2019) – Intel

Almutaz Adileh (PhD 2018) – Huawei

Sam Van den Steen (PhD 2018) – Intel

Josue Feliu Perez (visiting postdoc) – UPV

Cecilia Gonzalez-Alvarez (PhD 2015) – Nokia

Jennifer B. Sartor (postdoc) – VUB (assistant professor)

Stijn Eyerman (PhD 2008, postdoc FWO) – Intel

Wim Heirman (postdoc) – Intel

Zhibin Yu (postdoc) – SIAT

Maximilien Breughe (PhD 2014) – Nvidia (Austin, TX)

Trevor E. Carlson (PhD 2014) – Uppsala University (postdoc) – National University of Singapore (assistant professor)

Kristof Du Bois (PhD 2014) – Intel

Frederick Ryckbosch (PhD 2013) – Co-Scale startup (co-founder), New Relic

Stijn Polfliet (PhD 2013) – Co-Scale startup (co-founder), New Relic

Kenzo Van Craeynest (PhD 2013) – Flemish government

Luk Van Ertvelde (PhD 2010) – Itineris

Kenneth Hoste (PhD 2010) – HPC UGent

Davy Genbrugge (PhD 2010) – Agilent

Frederik Vandeputte (PhD 2008) – Nokia

Andy Georges (PhD 2008) – HPC UGent

Dries Buytaert (PhD 2008) – Acquia (CTO & co-founder)

Kris Venstermans (PhD 2007) – LMS

 

 

Software

 

We released Sniper, a fast, accurate and parallel x86 multi-core simulator.

 

Projects

 

ERC Advanced Grant 2018-2023: LSC – Load Slice Core

ERC Starting Grant 2011-2017: DPMP – Dependable Performance

ERC Proof-of-Concept 2012 (DaMon) & 2015 (Sniper-ARM) & 2021 (Forward Slice Core)

 

Professional activities

 

Editor-in-Chief (2015-2018):

 

Associate editor:

 

General chair:

 

Program chair:

 

Program committees:

-       ISCA: 2007, 2009, 2010, 2011, 2012, 2015, 2016, 2017, 2018, 2020 (chair), 2021, 2023, 2024

-       HPCA: 2007, 2008, 2010, 2011, 2013, 2014, 2015 (chair), 2018, 2019, 2023, 2024

-       MICRO: 2012, 2013, 2015, 2017, 2018, 2021

-       ASPLOS: 2017, 2020, 2022, 2023, 2024

-       IEEE Micro Top Picks: 2008, 2009, 2010, 2011, 2013, 2015, 2017, 2018, 2021, 2022, 2024

-       PLDI: 2007, 2009, 2015

-       PACT: 2009, 2010, 2012

-       CGO: 2010, 2011, 2013 (co-chair)

-       ISPASS: 2005, 2006, 2008, 2009 (chair), 2012, 2016, 2017, 2021

-       IISWC: 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2014, 2015

 

Others:

-       IEEE Technical Committee on Computer Architecture (TCCA), Chair 2017-2018

 

 

Awards

 

-       2024 MICRO Best Paper Award

-       BenchCouncil Achievement Award 2023

-       “ISCA@50 25-Year Retrospective: 1996-2020” selection of ‘Scheduling Heterogeneous Multi-Cores through Performance Impact Estimation (PIE)’ from ISCA 2012

-       2022 Best Paper Award at IEEE Computer Architecture Letters

-       ACM Fellow for contributions in computer architecture performance analysis and modeling (class of 2021)

-       Selection of Vector Runahead as an IEEE Micro Top Pick 2021

-       IEEE Fellow for contributions in computer architecture performance analysis and modeling (class of 2018)

-       OOPSLA Most Influential Paper Award for Statistically Rigorous Java Performance Evaluation (published at OOPSLA 2007)

-       2017 Maurice Wilkes Award for outstanding contributions to computer architecture performance analysis and modeling

-       ISPASS 2013 Best Paper Award

-       Laureaat van de Academie in de Klasse van de Technische Wetenschappen, 2010

-       Selection of Per-Thread Cycle Accounting for SMT Processors as an IEEE Micro Top Pick 2010 for most significant research paper in computer architecture based on novelty and long-term impact

-       Selection of A Top-Down Approach to Architecting CPI Component Performance Counters as an IEEE Micro Top Pick 2007 for being most relevant to industry and significant in contribution to the field of computer architecture

-       IBM Belgium Prize for Informatics for PhD thesis in 2003

 

 

Selected publications (Please respect the copyright policies of the publishers)

 

2024

 

FOCAL: A First-Order Carbon Model to Assess Processor Sustainability

            L. Eeckhout

            ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), April 2024

 

GPU Scale-Model Simulation

            H. SeyyedAghaei, M. Naderan-Tahan, and L. Eeckhout

            IEEE International Symposium on High-Performance Computer Architecture (HPCA), March 2024

 

 

2023

 

Characterizing Multi-Chip GPU Data Sharing

            S. Zhang, M. Naderan-Tahan, M. Jahre, and L. Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 20, Issue 4, No 56, pp. 1-24, Dec 2023

 

Balancing Performance Against Cost and Sustainability in Multi-Chip-Module GPUs

            S. Zhang, M. Naderan-Tahan, M. Jahre, and L. Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 22, No 2, pp. 145-148, July-Dec 2023

 

Decoupled Vector Runahead [Best Paper Award]

Ajeya Naithani, J. Roelandts, S. Ainsworth, T. M. Jones, and L. Eeckhout

IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 17-31, Oct 2023

 

TEA: Time-Proportional Event Analysis [Best Paper Nominee]

            B. Gottschall, L. Eeckhout, and M. Jahre

            IEEE/ACM International Symposium on Computer Architecture (ISCA), pp. 23:1-23:13, June 2023

 

SAC: Sharing-Aware Caching in Multi-Chip GPUs

            S. Zhang, M. Naderan-Tahan, M. Jahre, and L. Eeckhout

            IEEE/ACM International Symposium on Computer Architecture (ISCA), pp. 43:1 -43:13, June 2023

 

RETROSPECTIVE: Scheduling Heterogeneous Multi-Cores through Performance Impact Estimation (PIE)

            K. Van Craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, and J. Emer

            ISCA@50 25-Year Retrospective: 1996-2020

 

Sieve: Stratified GPU-Compute Workload Sampling

            M. Naderan-Tahan, H. SeyyedAghaei, and L. Eeckhout

            IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 224-234, April 2023

 

Photonic Network-on-Wafer for Multi-Chiplet GPUs

            S. Zhang, Z. Zhang, M. Naderan-Tahan, H. SeyyedAghaei, X. Wang, H. Li, S. Qin, D. Colle, G. Torfs, M. Pickavet, J. Bauwelinck, G. Roelkens, L. Eeckhout

            IEEE Micro, Vol 43, No 2, pp 86-95, March-April 2023

 

NUBA: Non-Uniform Bandwidth Architecture GPUs

            X. Zhao, M. Jahre, Y. Tang, G. Zhang, and L. Eeckhout

            ACM International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), Vol 2, pp 544-559, March 2023

 

Kaya for Computer Architects: Toward Sustainable Computer Systems

            L. Eeckhout

            IEEE Micro, Vol 43, No 1, pp 9-18, Jan-Feb 2023

 

Towards Sustainable Computer Architecture: A Holistic Approach

            L. Eeckhout

            HiPEAC Vision, pp 216-224, Jan 2023

 

2022

 

Vector Runahead for Indirect Memory Accesses

A.    Naithani, S. Ainsworth, T. M. Jones, and L. Eeckhout

IEEE Micro, Top Picks Special Issue, Vol 42, No 4, pp 116-126, July-August 2022

 

A First-Order Model to Assess Computer Architecture Sustainability [Best of CAL, presented at HPCA 2023]

            L. Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 21, No 2, pp 137-140, July-Dec 2022

 

Scale-Model Architectural Simulation

            W. Liu, W. Heirman, S. Eyerman, S. Akram, and L. Eeckhout

            IEEE Symposium on Performance Analysis of Systems and Software (ISPASS), May 2022

 

Reliability-Aware Runahead

A.    Naithani, and L. Eeckhout

IEEE International Symposium on High-Performance Computer Architecture (HPCA), pp. 772-785, April 2022

 

Delegated Replies: Alleviating Network Clogging in Heterogeneous Architectures

            X. Zhao, L. Eeckhout, and M. Jahre

            IEEE International Symposium on High-Performance Computer Architecture (HPCA), pp. 1014-1028, April 2022

 

The Forward Slice Core: A High-Performance, Yet Low-Complexity Microarchitecture

            K. Lakshminarasimhan, A. Naithani, J. Feliu, and L. Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 19, No 2, Article 17, pp. 1-25, June 2022

 

VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors

            J. Feliu, A. Naithani, J. Sahuquillo, S. Petit, M. Qureshi, and L. Eeckhout

            IEEE Transactions on Computers, Vol 71, No 6, June 2022

 

2021

 

Scale-Model Simulation

            W. Liu, W. Heirman, S. Eyerman, S. Akram, and L. Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 20, No 2, pp. 175-178, July-Dec 2021

 

TIP: Time-Proportional Instruction Profiling [Best Paper Runner-Up]

            B. Gottschall, L. Eeckhout, and M. Jahre

            IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 15-27, Oct 2021

 

Cactus: Top-Down GPU-Compute Benchmarking using Real-Life Applications

            M. Naderan, and L. Eeckhout

            IEEE International Symposium on Workload Characterization (IISWC), pp. 176-188, Oct 2021

 

Vector Runahead [IEEE Micro Top Picks]

A. Naithani, S. Ainsworth, T. M. Jones, and L. Eeckhout

IEEE/ACM International Symposium on Computer Architecture (ISCA), pp. 195-208, June 2021

 

Reliability-Aware Garbage Collection for Hybrid HBM-DRAM Memories

            W. Liu, S. Akram, J. B. Sartor, and L. Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Jan 2021

 

2020

 

A Rigorous Benchmarking and Performance Analysis Methodology for Python Workloads

            A. Crapé, and L. Eeckhout

            IEEE International Symposium on Workload Characterization (IISWC), pp/83-93, Oct 2020

 

Selective Replication in Memory-Side GPU Caches

            X. Zhao, M. Jahre, and L. Eeckhout

            International Symposium on Microarchitecture (MICRO), pp. 967-980, Oct 2020

 

MDM: The GPU Memory Divergence Model

            L. Wang, M. Jahre, A. Adileh, and L. Eeckhout

            International Symposium on Microarchitecture (MICRO), pp. 1009-1021, Oct 2020

 

The Forward Slice Core Microarchitecture

            K. Lakshminarasimhan, A. Naithani, J. Feliu Perez, and L. Eeckhout

            International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 361-372, Oct 2020

 

Message from the ISCA 2020 Program Chair

            L. Eeckhout

            International Symposium on Computer Architecture (ISCA), June 2020

 

HSM: A Hybrid Slowdown Model for Multitasking GPUs

            X. Zhao, M. Jahre, and L. Eeckhout

            International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 1371-1385, March 2020

 

Precise Runahead Execution

A.    Naithani, J. Feliu, A. Adileh, and L. Eeckhout

International Symposium on High-Performance Computer Architecture (HPCA), pp. 397-410, Feb 2020

 

Thread Isolation to Improve Symbiotic Job Scheduling on SMT Multicore Processors

            J. Feliu, J. Sahuquillo, S. Petit, and L. Eeckhout

            IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 31, No 2, pp. 359-373, Feb 2020

 

COPA: Highly Cost-Effective Power Back-Up for Green Datacenters

Y. Yin, J. Wu, X. Zhou, L. Eeckhout, A. Qouneh, T. Li, and Z. Yu

            IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 31, No 4, pp. 967-980, April 2020

 

2019

 

Directed Statistical Warming through Time Traveling [Best Paper Nominee]

            N. Nikoleris, L. Eeckhout, E. Hagersten, and T. E. Carlson

            International Symposium on Microarchitecture (MICRO), pp. 1037-1049, Oct 2019

 

Adaptive Memory-Side Last-Level GPU Caching

            X. Zhao, A. Adileh, Z. Yu, Z. Wang, A. Jaleel, and L. Eeckhout

            International Symposium on Computer Architecture (ISCA), pp. 411-423, June 2019

 

Crystal Gazer: Profile-Driven Write-Rationing Garbage Collection for Hybrid Memories

S. Akram, J. B. Sartor, K. S. McKinley, and L. Eeckhout,

Proceedings of the ACM on Measurement and Analysis of Computing Systems (SIGMETRICS), June 2019

 

Emulating and Evaluating Hybrid Memory for Managed Languages on NUMA Hardware

S. Akram, J. B. Sartor, K. S. McKinley, and L. Eeckhout

IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 93-105, March 2019

 

Racing to Hardware-Validated Simulation

A.    Adileh, C. Gonzalez-Alvarez, J. M. de Haro Ruiz, and L. Eeckhout

B.    IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 58-67, March 2019

 

RPPM: Rapid Performance Prediction of Multithreaded Workloads on Multicore Hardware

S. De Pestel, S. Van den Steen, S. Akram, and L. Eeckhout

IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 257-267, March 2019

 

Modeling Emerging Memory-Divergent GPU Applications

            L. Wang, M. Jahre, A. Adileh, Z. Wang, and L. Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 18, No 2, pp. 95-98, July-Dec 2019

 

Precise Runahead Execution

A.    Naithani, J. Feliu, A. Adileh, and L. Eeckhout

IEEE Computer Architecture Letters (CAL), Vol 18, No 1, pp. 71-74, Jan-June 2019

 

CD-Xbar: A Converge-Diverge Crossbar Network for High-Performance GPUs [Feature of the Month]

            Xia Zhao, Sheng Ma, Zhiying Wang, Natalie Enright Jerger, Lieven Eeckhout

            IEEE Transactions on Computers (TC), Vol 68, No 9, pp. 1283-1296, Sept 2019

 

HeteroCore GPU to Exploit TLP-Resource Diversity

            Xia Zhao, Zhiying Wang, and Lieven Eeckhout

            IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 30, No 1, pp. 93-106, Jan 2019

 

2018

 

Architectural Support for Probabilistic Branches

            Almutaz Adileh, David J. Lilja, and Lieven Eeckhout

            International Symposium on Microarchitecture (MICRO), pp. 108-120, Oct 2018

 

Write-Rationing Garbage Collection for Hybrid Memories [NVMW 2019 Memorable Paper Award]

            Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, and Lieven Eeckhout

            International Symposium on Programming Language Design and Implementation (PLDI), pp. 62-77, June 2018

 

Get Out of the Valley: Power-Efficient Address Mapping for GPUs

            Yuxi Liu, Xia Zhao, Magnus Jahre, Zhenlin Wang, Xiaolin Wang, Yingwei Luo, and Lieven Eeckhout

            International Symposium on Computer Architecture (ISCA), pp. 166-179, June 2018

 

Classification-Driven Search for Effective SM Partitioning in Multitasking GPUs

            Xia Zhao, Zhiying Wang, and Lieven Eeckhout

            International Symposium on Supercomputing (ICS), pp. 65-75, June 2018

 

Intra-Cluster Coalescing and CTA Scheduling to Reduce GPU NoC Pressure – extended version in IEEE Transactions on Computers, see here

            Lu Wang, Xia Zhao, David Kaeli, Zhiying Wang, and Lieven Eeckhout

            International Parallel and Distributed Processing Symposium (IPDPS), pp. 990-999, May 2018

 

GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime

            Magnus Jahre, and Lieven Eeckhout

            International Symposium on High Performance Computer Architecture (HPCA), pp. 296-309, Feb 2018

 

Modeling Superscalar Processor Memory-Level Parallelism 

Sam Van den Steen, and Lieven Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 17, No 1, pp. 9-12, Jan-June 2018

 

RPPM: Rapid Performance Prediction of Multithreaded Applications on Multicore Hardware

            Sander De Pestel, and Lieven Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 17, No 2, pp. 183-186, Sept 2018

 

MIA: Metric Importance Analysis for Big Data Workload Characterization

            Zhibin Yu, Wen Xiong, Lieven Eeckhout, Zhendong Bei, Avi Mendelson, and Chengzhong Xu

            IEEE Transactions on Parallel and Distributed Systems (TPDS), VOl 29, No 6, pp. 1371-1384, June 2018

 

QIG: Quantifying the Importance and Interaction of GPGPU Architecture Parameters

            Zhibin Yu, Jing Wang, Lieven Eeckhout, and Chengzhong Xu

            IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol 37, No 6, pp. 1211-1224, June 2018

 

2017

 

BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads

            Yuxi Liu, Xia Zhao, Zhibin Yu, Zhenlin Wang, Xiaolin Wang, Yingwei Luo, Lieven Eeckhout

            International Conference on Computer Design (ICCD), pp.633-640, Nov 2017

 

Application Clustering Policies to Address System Fairness with Intelճ Cache Allocation Technology

            Vicent Selfa, Julio Sahuquillo, Lieven Eeckhout, Salvador Petit and Maria E. Gomez

            International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 194-205, Sept 2017

 

Analyzing the Scalability of Managed Language Applications with Speedup Stacks

            Jennifer B. Sartor, Kristof Du Bois, Stijn Eyerman, and Lieven Eeckhout

            IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 23-32, April 2017

 

Reliability-Aware Scheduling on Heterogeneous Multicores – extended version in IEEE Transactions on Computers: here

            Ajeya Naithani, Stijn Eyerman, and Lieven Eeckhout

            International Symposium on High Performance Computer Architecture (HPCA), pp. 397-408, Feb 2017

 

Improving IBM POWER8 Performance Through Symbiotic Job Scheduling

            Josue Feliu, Stijn Eyerman, Julio Sahuquillo, Member, IEEE, Salvador Petit, Member, IEEE, and Lieven Eeckhout

            IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol 28, No 10, pp. 2838-2851, Oct 2017

 

Mind The Power Holes: Sifting Operating Points in Power-Limited Heterogeneous Multicores

            Almutaz Adileh, Stijn Eyerman, Aamer Jaleel, and Lieven Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 16, No 1, pp. 56-59, Jan-June 2017

 

LA-LLC: Inter-Core Locality-Aware Last-Level Cache to Exploit Many-to-Many Traffic in GPGPUs

            Xia Zhao, Yuxi Liu, Almutaz Adileh, and Lieven Eeckhout

            IEEE Computer Architecture Letters (CAL), Vol 16, No 1, pp. 42-45, Jan-June 2017

 

2016

 

The Truth, The Whole Truth and Nothing But the Truth: A Pragmatic Guide to Assessing Empirical Evaluations

Steve Blackburn, Amer Diwan, Matthias Hauswirth, Peter F. Sweeney, et al.

            ACM Transactions on Programming Languages and Systems (TOPLAS), Vol 38, No 4, Article 15, Oct 2016

 

Maximizing Heterogeneous Processor Performance under Power Constraints

            Almutaz Adileh, Stijn Eyerman, Aamer Jaleel, and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 13, No 3, Article 29, Sept 2016

 

MiNGLE: An Efficient Framework for Domain Acceleration using Low-Power Specialized Functional Units

            Cecilia Gonzalez-Alvarez, Jennifer B. Sartor, Carlos Alvarez, Daniel Jimenez-Gonzalez, and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 13, No 2, Article 17, June 2016

 

Barrier-Aware Warp Scheduling for Throughput Processors

            Yuxi Liu, Zhibin Yu, Lieven Eeckhout, Vijay Janapa Reddi, Yingwei Luo, Xiaolin Wang, Zhenlin Wang, Chengzhong Xu,

            ACM International Symposium on Supercomputing (ICS), June 2016

 

DVFS Performance Prediction for Managed Multithreaded Applications – extension in IEEE Transactions on Computers, see here

            Shoaib Akram, Jennifer B. Sartor, and Lieven Eeckhout

            International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 12-23, April 2016 [Best paper nominee]

 

Boosting the Priority of Garbage: Scheduling Collection on Heterogeneous Multicore Processors

            Shoaib Akram, Jennifer B. Sartor, and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 13, No 1, Article 4, April 2016

 

Two-Level Hybrid Sampled Simulation of Multithreaded Applications      

Chuntao Jiang, Zhibin Yu, Lieven Eeckhout, Hai Jin, Xiaofei Liao, Cheng-Zhong Xu

            ACM Transactions on Architecture and Code Optimization, Vol 12, No 4, Article 39, Jan 2016

 

2015

 

Automatic Design of Domain-Specific Instructions for Low-Power Processors

            Cecilia Gonzalez-Alvarez, Jennifer B. Sartor, Carlos Alvarez, Daniel Jimenez-Gonzalez, and Lieven Eeckhout

            International Conference on Application-Specific Systems, Architectures and Processors (ASAP), pp. 1-8, July 2015 [Best Student Paper Award]

 

The Load Slice Core Microarchitecture

            Trevor E. Carlson, Wim Heirman, Osman Allam, Stefanos Kaxiras, and Lieven Eeckhout

            International Symposium on Computer Architecture (ISCA), pp. 272-284, June 2015

 

Chrysso: An Integrated Power Manager for Constrained Many-Core Processors

            Sudhanshu Shekhar Jha, Wim Heirman, Ayose Falcon, Trevor E. Carlson, Kenzo Van Craeynest, Jordi Tubella, Antonio Gonzalez, and Lieven Eeckhout

            ACM International Conference on Computing Frontiers (CF), May 2015

 

Micro-Architecture Independent Analytical Performance and Power Modeling – extension in IEEE Transactions on Computers, see here [Feature of the Month]

            Sam Van den Steen, Sander De Pestel, Stijn Eyerman, Trevor E. Carlson, David Black-Schaffer, Erik Hagersten, and Lieven Eeckhout

            IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 32 -41, March 2015 [Best paper nominee]

 

Micro-Architecture Independent Branch Behavior Characterization – extension in IEEE Transactions on Computers, see here [Feature of the Month]

            Sander De Pestel, Stijn Eyerman, and Lieven Eeckhout

            IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 135-144, March 2015

 

Mechanistic Modeling of Architectural Vulnerability Factor

            Arun A. Nair, Stijn Eyerman, Lizy K. John, and Lieven Eeckhout

            ACM Transactions on Computer Systems, Vol. 32, Issue 4, No. 11, Jan 2015

 

Mechanistic Analytical Modeling of Superscalar In-Order Processors

            Maximilien Breughe, Stijn Eyerman, and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol. 11, Issue 11, No. 50, Jan 2015

 

GPGPU-MiniBench: Accelerating GPGPU Micro-Architecture Simulation

            Zhibin Yu, Lieven Eeckhout, N. Goswani, Tao Li, Lizy K. John, Hai Jin, Cheng-Zhong Xu

            IEEE Transactions on Computers, Vol 64, No 11, pp. 3153-3166, Nov 2015

 

Practical Iterative Optimization for the Data Center

            Shuangde Fang, Wenwen Xu, Yang Chen, Lieven Eeckhout, Olivier Temam, Yunji Chen, Chengyong Wu, and Xiaobing Feng

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 12, No 2, Article 15, May 2015

 

2014

 

An Evaluation of High-Level Mechanistic Core Models

            Trevor E. Carlson, Wim Heirman, Stijn Eyerman, Ibrahim Hur, and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol. 11, Issue 3, No. 28, Oct 2014

 

Cooperative Cache Scrubbing

Jennifer B. Sartor, Wim Heirman, Steve M. Blackburn, Lieven Eeckhout, Kathryn S. McKinley

            Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 15-26, Sept 2014 [Best paper nominee]

 

Restating the Case for Weighted-IPC Metrics to Evaluate Multiprogram Workload Performance

            Stijn Eyerman, and Lieven Eeckhout

            IEEE Computer Architecture Letters, Vol. 13, No. 2, pp. 93-96, July-Dec 2014

 

Performance Portability Across Heterogeneous SoCs using a Generalized Library-Based Approach

            Shuangde Fang, Zidong Du, Yuntan Fang, Yuanjie Huang, Yang Chen, Lieven Eeckhout, Olivier Temam, Huawei Li, Yunji Chen, Chengyong Wu

            ACM Transactions on Architecture and Code Optimization (TACO), Vol. 11, Issue 2, No. 21, June 2014

 

BarrierPoint: Sampled Simulation of Multi-Threaded Applications

Trevor E. Carlson, Wim Heirman, Kenzo Van Craeynest, and Lieven Eeckhout

            Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2014  [Best paper nominee]

 

The Benefit of SMT in the Multi-Core Era: Flexibility towards Degrees of Thread-Level Parallelism

            Stijn Eyerman and Lieven Eeckhout

            Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 591-606, March 2014

 

Undersubscribed Threading on Clustered Cache Architectures

            Wim Heirman, Trevor E. Carlson, Kenzo Van Craeynest, Ibrahim Hur, Aamer Jaleel, and Lieven Eeckhout

            Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), February 2014

 

2013

 

Selecting Representative Benchmark Inputs for Exploring Microprocessor Design Spaces

            Maximilien Breughe, and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 10, No 4, Paper 37, Dec 2013

 

Accelerating an Application Domain with Specialized Functional Units

            Cecilia Gonzalez-Alvarez, Jennifer B. Sartor, Carlos Alvarez, Daniel Jimenez-Alvarez, and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 10, No 4, Paper 47, Dec 2013

 

PCantorSim: Accelerating Parallel Architecture Simulation through Fractal-Based Sampling

            Chuntao Jiang, Zhibin Yu, Hai Jin, Chengzhong Xu, Lieven Eeckhout, Wim Heirman, Trevor E. Carlson, Xiaofei Liao

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 10, No 4, Paper 49, Dec 2013

 

Bottle Graphs: Visualizing Scalability Bottlenecks in Multi-Threaded Applications

            Kristof Du Bois, Jennifer B. Sartor, Stijn Eyerman, and Lieven Eeckhout

            Proceedings of the ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications (OOPSLA), pp. 335-372, Oct 2013

 

Fairness-Aware Scheduling on Single-ISA Heterogeneous Multi-Cores

            Kenzo Van Craeynest, Shoaib Akram, Wim Heirman, Aamer Jaleel, and Lieven Eeckhout

            Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 177-187, Sept 2013

 

Criticality Stacks: Identifying Critical Threads in Parallel Programs using Synchronization Behavior

            Kristof Du Bois, Stijn Eyerman, Jennifer B. Sartor and Lieven Eeckhout

            Proceedings of the International Symposium on Computer Architecture (ISCA), pp. 511-522, June 2013

 

Sampled Simulation of Multi-Threaded Applications

            Trevor E. Carlson, Wim Heirman and Lieven Eeckhout

            Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 2-12, April 2013  [Best paper award]

 

Restating the Case for Weighted-IPC Metrics to Evaluate Multiprogram Workload Performance

            Stijn Eyerman and Lieven Eeckhout

            IEEE Computer Architecture Letters, Accepted

 

Per-Thread Cycle Accounting in Multicore Processors

            Kristof Du Bois, Stijn Eyerman and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 9, No 4, Jan 2013

 

Understanding Fundamental Design Choices in Single-ISA Heterogeneous Multicore Architectures

            Kenzo Van Craeynest and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 9, No 4, Jan 2013

 

2012

 

SWAP: Parallelization through Algorithm Substitution

            Hengjie Li, Wenting He, Yang Chen, Lieven Eeckhout, Olivier Temam and Chengyong Wu

            IEEE Micro, Vol 32, No 2, pp. 54-67, July/August 2012

 

Exploring Multi-Threaded Java Application Performance on Multi-Core Hardware

            Jennifer B. Sartor and Lieven Eeckhout

Proceedings of the ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages and Applications (OOPSLA), pp. 281-296, Oct 2012

 

Power-Aware Multi-Core Simulation for Early Design Stage Hardware/Software Co-Optimization

            Wim Heirman, Souradip Sarkar, Trevor E. Carlson, Ibrahim Hur and Lieven Eeckhout

            Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 3-12, Sept 2012

 

Scheduling Heterogeneous Multi-Cores through Performance Impact Estimation (PIE)

            Kenzo Van Craeynest, Aamer Jaleel, Lieven Eeckhout, Paolo Narvaez, and Joel S. Emer

            Proceedings of the International Symposium on Computer Architecture (ISCA), pp. 213-244, June 2012

 

A First-Order Mechanistic Model for Architectural Vulnerability Factor

            Arun A. Nair, Stijn Eyerman, Lieven Eeckhout and Lizy K. John

            Proceedings of the International Symposium on Computer Architecture (ISCA), pp. 273-284, June 2012

 

Studying Hardware and Software Trade-Offs for a Real-Life Web 2.0 Workload

            Stijn Polfliet, Frederick Ryckbosch and Lieven Eeckhout

            Proceedings of the International Conference on Performance Engineering (ICPE), pp. 181-192, April 2012

 

Speedup Stacks: Identifying Scaling Bottlenecks in Multi-Threaded Applications

            Stijn Eyerman, Kristof Du Bois and Lieven Eeckhout

            Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 145-155, April 2012

 

A Mechanistic Performance Model for In-Order Processors

            Maximilien Breughe, Stijn Eyerman and Lieven Eeckhout

            Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 14-24, April 2012  [Best paper nominee]

 

Iterative Optimization for the Data Center

            Yang Chen, Shuangde Fang, Lieven Eeckhout, Olivier Temam, Chengyong Wu

            Proceedings of the International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS), pp. 49-60, March 2012

 

Deconstructing Iterative Optimization

Yang Chen, Shuangde Fang, Yuanjie Huang, Lieven Eeckhout, Grigori Fursin, Olivier Temam and Chengyong Wu

            ACM Transaction on Architecture and Code Optimization (TACO), Vol 9, No 3, Sept 2012

 

Probabilistic Modeling for Job Symbiosis Scheduling on SMT Processors

            Stijn Eyerman and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimizations (TACO), Vol 9, No 2, June 2012

 

VSim: Simulating Multi-Server Setups at Near Native Hardware Speed

            Frederick Ryckbosch, Stijn Polfliet and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimizations (TACO), Vol. 8, Issue 4, Article No. 52, Jan 2012

 

Exploiting Media Stream Similarity for Energy-Efficient Decoding and Resource Prediction

            Juan Hamers and Lieven Eeckhout

            ACM Transactions on Embedded Computing Systems (TECS), Vol 11, Issue 1, Article No 2, March 2012

 

2011

 

Using Cycle Stacks to Understand Scaling Bottlenecks in Multi-Threaded Workloads

            Wim Heirman, Trevor E. Carlson, Shuai Che, Kevin Skadron and Lieven Eeckhout

            Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pp. 38-50, Nov 2011

 

Ranking Commercial Machines through Data Transposition

            Beau Piccart, Andy Georges, Hendrik Blockeel and Lieven Eeckhout

            Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pp. 3-14, Nov 2011

 

The Multi-Program Performance Model: Debunking Current Practice in Multi-Core Simulation

            Kenzo Van Craeynest and Lieven Eeckhout

            Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pp. 26-37, Nov 2011

 

Sniper: Exploring the Level of Abstraction for Scalable and Accurate Parallel Multi-Core Simulation

            Trevor E. Carlson, Wim Heirman and Lieven Eeckhout

            Supercomputing (SC), Nov 2011

 

Trends in Server Energy Proportionality

            Frederick Ryckbosch, Stijn Polfliet and Lieven Eeckhout

            IEEE Computer, Vol 44, No 9, pp. 69-72, Sept 2011

 

Optimizing the Data Center for Data-Centric Workloads

            Stijn Polfliet, Frederick Ryckbosch and Lieven Eeckhout

            Proceedings of the International Conference on Supercomputing (ICS), pp. 182-191, June 2011

 

How Sensitive is Processor Customization to the Workloadճ Input Datasets?

            Maximilien Breughe, Zheng Li, Yang Chen, Stijn Eyerman, Olivier Temam, Chengyong Wu and Lieven Eeckhout

            Proceedings of the International Symposium on Application-Specific Processors (SASP), June 2011

 

Automated Full-System Power Characterization

            Stijn Polfliet, Frederick Ryckbosch and Lieven Eeckhout

            IEEE Micro, Vol 31, No 3, pp. 46-59, May/June 2011

 

Fine-Grained DVFS Using On-Chip Regulators

            Stijn Eyerman and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 8, No 1, April 2011

 

Mechanistic-Empirical Processor Performance Modeling for Constructing CPI Stacks on Real Hardware

            Stijn Eyerman, Kenneth Hoste and Lieven Eeckhout

            IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 216-226, April 2011

 

SWEEP: Evaluating Computer System Energy Efficiency using Synthetic Workloads

            Kristof Du Bois, Tim Schaeps, Stijn Polfliet, Frederick Ryckbosch and Lieven Eeckhout

            Proceedings of the International Conference on High Performance and Embedded Architectures and Compilers (HiPEAC), pp. 159-166, Jan 2011

 

2010

 

Benchmark Synthesis for Architecture and Compiler Exploration

            Luk Van Ertvelde and Lieven Eeckhout

            Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pp 106-116, Dec 2010

 

AVF Stressmarks: Towards an Automated Methodology for Bounding the Worst-Case Vulnerability of Soft Errors

            Arun A. Nair, Lizy K. John and Lieven Eeckhout

            Proceedings of the International Symposium on Microarchitecture (MICRO), pp 125-136, Dec 2010

 

Scenario-Based Resource Prediction for QoS-Aware Media Processing

            Juan Hamers and Lieven Eeckhout

            IEEE Computer, Vol 43, No 10, pp. 56-63, Oct 2010

 

Workload Reduction and Generation Techniques

            Luk Van Ertvelde and Lieven Eeckhout

            IEEE Micro, Vol 30, No 6, pp. 57-65, Nov/Dec 2010

 

Fast, Accurate, and Validated Full-System Software Simulation of x86 Hardware

            Frederick Ryckbosch, Stijn Polfliet and Lieven Eeckhout

            IEEE Micro, Vol 30, No 6, pp. 46-56, Nov/Dec 2010

 

A Counter Architecture for Online DVFS Profitability Estimation

            Stijn Eyerman and Lieven Eeckhout

IEEE Transactions on Computers, Vol 59, No 11, pp. 1576-1583, Nov 2011

 

Computer Architecture Performance Evaluation Methods

            Lieven Eeckhout

            Synthesis Lectures on Computer Architecture, Editor Mark Hill, Morgan & Claypool Publishers, June 2010

 

Modeling Critical Sections in Amdahlճ Law and its Implications for Multicore Design

            Stijn Eyerman and Lieven Eeckhout

            Proceedings of ISCA, pp. 362-370, June 2010

 

Evaluating Iterative Optimization Across 1000 Data Sets

            Yang Chen, Yuanjie Huang, Lieven Eeckhout, Grigori Fursin, Liang Peng, Olivier Temam and Chenyong Wu

            Proceedings of PLDI, pp. 448-459, June 2010

 

Automated Just-In-Time Compiler Tuning

            Kenneth Hoste, Andy Georges and Lieven Eeckhout

            Proceedings of CGO, pp. 62-72, April 2010

 

Performance Metrics for Consolidated Servers

            Andy Georges and Lieven Eeckhout

            Proceedings of HPCvirt, April 2010

 

Probabilistic Job Symbiosis Modeling for SMT Processor Scheduling

            Stijn Eyerman and Lieven Eeckhout

            Proceedings of ASPLOS, pp. 91-102, March 2010

 

Interval Simulation: Raising the Level of Abstraction in Architectural Simulation

            Davy Genbrugge, Stijn Eyerman and Lieven Eeckhout

            Proceedings of HPCA, pp. 307-318, Feb 2010

 

Per-Thread Cycle Accounting

            Stijn Eyerman and Lieven Eeckhout

            IEEE Micro, Special Issue on Top Picks from 2009 Microarchitecture Conferences, Vol 30, No 1, pp. 71-80, Jan/Feb 2010

 

 

2009

 

Chip-Multiprocessor Design Space Exploration through Statistical Simulation

            Davy Genbrugge and Lieven Eeckhout

            IEEE Transactions on Computers, Vol 58, No 12, pp. 1668-1681, Dec 2009

 

A Methodology for Analyzing Commercial Processor Performance

            Kenneth Hoste and Lieven Eeckhout

            IEEE Computer, Vol 42, No 10, pp. 70-76, Oct 2009

 

A Mechanistic Performance Model for Superscalar Out-of-Order Processors

            Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis and James E. Smith

            ACM Transactions on Computer Systems (TOCS), Vol 27, Issue 2, Article No 3, May 2009

 

Per-Thread Cycle Accounting in SMT Processors

            Stijn Eyerman and Lieven Eeckhout

Proceedings of ASPLOS 2009, pp 133-144, March 2009

 

Finding Stress Patterns in Microprocessor Workloads

            Frederik Vandeputte and Lieven Eeckhout

            Proceedings of HiPEAC 2009, pp. 153-167, Jan 2009

 

MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor

            Kenzo Van Craeynest, Stijn Eyerman and Lieven Eeckhout

Proceedings of HiPEAC 2009, pp. 110-124, Jan 2009

 

Memory-Level Parallelism Aware Fetch Policies for Simultaneous Multithreading Processors

            Stijn Eyerman and Lieven Eeckhout

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 6, Issue 1, Article No 3, March 2009

 

 

2008

 

Distilling the Essence of Proprietary Workloads into Miniature Benchmarks

            Ajay M. Joshi, Lieven Eeckhout, Robert Bell, Jr. And Lizy K. John

            ACM Transactions of Architecture and Code Optimization (TACO), Vol. 5, No. 2, Article No. 10

 

Java Performance Evaluation through Rigorous Replay Compilation

Andy Georges, Lieven Eeckhout and Dries Buytaert

            OOPSLA 2008, pp. 367-384

 

System-Level Performance Metrics for Multi-Program Workloads

            Stijn Eyerman and Lieven Eeckhout

            IEEE Micro, Special Issue on Interaction of Many-Core Computer Architecture and Operating Systems, Vol. 28, No. 3, pp. 42-53

 

Automated Hardware-Independent Scenario Identification

            Juan Hamers and Lieven Eeckhout

            DAC 2008, pp. 954-959

 

COLE: Compiler Optimization Level Exploration

            Kenneth Hoste and Lieven Eeckhout

            CGO 2008, pp. 165-174

 

Dispersing Proprietary Applications as Benchmarks through Code Mutation

            Luk Van Ertvelde and Lieven Eeckhout

            ASPLOS 2008, pp. 201-210

 

Automated Microprocessor Stressmark Generation

            Ajay M. Joshi, Lieven Eeckhout, Lizy K. John and C. Isen

            HPCA 2008, pp. 229-239

 

Studying Compiler Optimizations on Superscalar Processors through Interval Analysis

            Stijn Eyerman, Lieven Eeckhout, and James E. Smith

            HiPEAC 2008, pp. 114-129

 

Phase Complexity Surfaces: Characterizing Time-Varying Program Behavior

            Frederik Vandeputte and Lieven Eeckhout

            HiPEAC 2008, pp. 320-334

 

Memory Data Flow Modeling in Statistical Simulation for the Efficient Exploration of Microprocessor Design Spaces

            Davy Genbrugge and Lieven Eeckhout

            IEEE Transactions on Computers, Vol 57, No 10, pp. 41-54

 

2007

 

Java Object Header Elimination for Reduced Memory Consumption in 64-Bit Virtual Machines

            Kris Venstermans, Lieven Eeckhout and Koen De Bosschere

            ACM Transactions on Architecture and Code Optimization (TACO), Vol 4, No 3, 30 pages

 

Microarchitecture-Independent Workload Characterization

            Kenneth Hoste and Lieven Eeckhout

            IEEE Micro, Special Issue on Hot Tutorials, Vol 27, No 3, pp. 63-72

 

A Top-Down Approach to Architecting CPI Component Performance Counters

            Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, and James E. Smith

            IEEE Micro, Special Issue on Top Picks from 2006 Microarchitecture Conferences, Vol 27, No 1, pp. 84-93

 

Using HPM-Sampling to Drive Dynamic Compilation

            Dries Buytaert, Andy Georges, Michael Hind, Matthew Arnold, Lieven Eeckhout, and Koen De Bosschere

            OOPSLA 2007, pp. 553-568

 

Statistically Rigorous Java Performance Evaluation

            Andy Georges, Dries Buytaert, and Lieven Eeckhout

            OOPSLA 2007, pp. 57-76

 

Statistical Simulation of Chip Multiprocessors Running Multi-Program Workloads

            Davy Genbrugge and Lieven Eeckhout

            ICCD 2007, pp. 464-471

 

Representative Multi-Program Workloads for Representative Architecture Simulation

            Michael Van Biesbrouck, Lieven Eeckhout, and Brad Calder

            IISWC 2007, pp. 193-203

 

Object-Relative Addressing: Compressed Pointers in 64-bit Java Virtual Machines

            Kris Venstermans, Lieven Eeckhout, and Koen De Bosschere

            ECOOP 2007, pp. 79-100

 

Resource Prediction for Media Stream Decoding

            Juan Hamers and Lieven Eeckhout

            DATE 2007, pp. 594-599

 

A Memory-Level Parallelism Aware Fetch Policy for SMT Processors

            Stijn Eyerman and Lieven Eeckhout

            HPCA 2007, pp. 240-249

 

Branch History Matching: Branch Predictor Warmup for Sampled Simulation

            Simon Kluyskens and Lieven Eeckhout

            HiPEAC 2007, pp. 153-167

 

2006

 

Efficient Sampling Startup for SimPoint

Michael Van Biesbrouck, Brad Calder and Lieven Eeckhout

IEEE Micro, Special Issue on Computer Architecture Simulation and Modeling, Vol 26, No 4, pp. 32-42

 

Measuring Benchmark Similarity Using Inherent Program Characteristics

            Ajay M. Joshi, Aashish Phansalkar, Lieven Eeckhout, and Lizy K. John

            IEEE Transactions on Computers, Vol 55, No 6, pp. 769-782

 

64-bit versus 32-bit Virtual Machines for Java

            Kris Venstermans, Lieven Eeckhout, and Koen De Bosschere

            Software Practice and Experience, Vol 36, No 1, pp. 1-26

 

A Performance Counter Architecture for Computing Accurate CPI Components

            Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis and James E. Smith

            ASPLOS 2006, pp. 175-184

 

Javana: A System for Building Customized Java Program Analysis Tools

            Jonas Maebe, Dries Buytaert, Lieven Eeckhout, and Koen De Bosschere

            OOPSLA 2006, pp. 153-168

 

Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks

            Ajay M. Joshi, Lieven Eeckhout, Robert H. Bell, Jr., and Lizy K. John

            IISWC 2006, pp. 105-115

 

Evaluating Benchmark Subsetting Approaches

            Joshua J. Yi, Resit Sendag, Lieven Eeckhout, Ajay. M. Joshi, David J. Lilja, and Lizy K. John

            IISWC 2006, pp. 93-104

 

Comparing Benchmarks Using Key Microarchitecture-Independent Characteristics

            Kenneth Hoste and Lieven Eeckhout

            IISWC 2006, pp. 83-92

 

Performance Prediction based on Inherent Program Similarity

            Kenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy K. John, and Koen De Bosschere

            PACT 2006, pp. 114-122

 

The Exigency of Benchmark and Compiler Drift: Designing Tomorrowճ Processors with Yesterdayճ Tools

            Joshua J. Yi, Hans Vandierendonck, Lieven Eeckhout, and David J. Lilja

            ICS 2006, pp. 87-96

 

Space-Efficient 64-bit Java Objects through Selective Typed Virtual Addressing

            Kris Venstermans, Lieven Eeckhout, and Koen De Bosschere

            CGO 2006, pp. 76-86

 

Considering All Starting Points for Simultaneous Multithreading Simulation

            Michael Van Biesbrouck, Lieven Eeckhout, and Brad Calder

            ISPASS 2006, pp. 143-153

 

Characterizing the Branch Misprediction Penalty

            Stijn Eyerman, James E. Smith, and Lieven Eeckhout

            ISPASS 2006, pp. 48-58

 

Efficient Design Space Exploration of High Performance Embedded Out-of-Order Processors

            Stijn Eyerman, Lieven Eeckhout, and Koen De Bosschere

            DATE 2006, pp. 351-356

 

2005

 

Garbage Collection Hints

            Dries Buytaert, Kris Venstermans, Lieven Eeckhout, and Koen De Bosschere

            HiPEAC 2005, pp. 233-248

 

Efficient Sampling Startup for Sampled Processor Simulation

            Michael Van Biesbrouck, Lieven Eeckhout, and Brad Calder

            HiPEAC 2005, pp. 47-67

 

Exploiting Program Microarchitecture Independent Characteristics and Phase Behavior for Reduced Benchmark Suite Simulation

            Lieven Eeckhout, John Sampson, and Brad Calder

            IISWC 2005, pp. 2-12

 

A Detailed Study on Phase Predictors

            Frederik Vandeputte, Lieven Eeckhout, and Koen De Bosschere

            Euro-Par 2005, pp. 571-581

 

Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites

            Aashish Phansalkar, Ajay M. Joshi, Lieven Eeckhout, and Lizy K. John

            ISPASS 2005, pp. 10-20

 

BLRL: Accurate and Efficient Warmup for Sampled Processor Simulation

            Lieven Eeckhout, Yue Luo, Koen De Bosschere, and Lizy K. John

            The Computer Journal, 2005, Vol 48, No 4, pp. 451-459

 

2004

 

Method-Level Phase Behavior in Java Workloads

            Andy Georges, Dries Buytaert, Lieven Eeckhout, and Koen De Bosschere

            OOPSLA 2004, pp. 270-287

 

Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies

            Lieven Eeckhout, Robert H. Bell, Jr., Bastiaan Stougie, Koen De Bosschere, and Lizy K. John

            ISCA 2004, pp. 350-361      

 

2003

 

Statistical Simulation: Adding Efficiency to the Computer Designerճ Toolbox

            Lieven Eeckhout, Sebastian Nussbaum, James E. Smith, and Koen De Bosschere

            IEEE Micro, Vol 23, No 5, pp. 26-38

 

Designing Computer Architecture Research Workloads

            Lieven Eeckhout, Hans Vandierendonck, and Koen De Bosschere

            IEEE Computer, Vol 36, No 2, pp. 65-71

 

Quantifying the Impact of Input Data Sets on Program Behavior and its Applications

            Lieven Eeckhout, Hans Vandierendonck, and Koen De Bosschere

            Journal of Instruction-Level Parallelism, Vol 5, pp. 1-33

 

How Java Programs Interact with Virtual Machines at the Microarchitectural Level

            Lieven Eeckhout, Andy Georges, and Koen De Bosschere

            OOPSLA 2003, pp. 169-186

 

2002

 

Workload Design: Selecting Representative Program-Input Pairs

            Lieven Eeckhout, Hans Vandierendonck, and Koen De Bosschere

            PACT 2002, pp. 83-94

 

2001

 

Hybrid Analytical-Statistical Modeling for Efficiently Exploring Architecture and Workload Design Spaces

            Lieven Eeckhout and Koen De Bosschere

            PACT 2001, pp. 25-34

 

Early Design Phase Power/Performance Modeling through Statistical Simulation

            Lieven Eeckhout and Koen De Bosschere

            ISPASS 2001, pp. 10-17

 

2000

 

Performance Analysis through Synthetic Trace Generation

            Lieven Eeckhout, Koen De Bosschere, and Henk Neefs

            ISPASS 2000, pp. 1-6